About Me

Hi, welcome to my tech blog, let me start by telling you something about myself. My name is Subash Chandra Nayak ... ppl prefer to call me Nayak. I am 23 year old guy currently in my 5th year Dual Degree (BTech + MTech) course at IIT Kharagpur. I am doing my BTech in Electronics and Electrical Communication Engineering with MTech specialization in Automation and Computer Vision.

I am originally from a small village near Sambalpur, which is situated at the western part of Orissa. I have grown up in a rural background far from the rush and run of city-life. I have thoroughly enjoyed the bliss of green and pure environment, the simple living style of rural people. My father is a farmer. My mother is a good housewife and a brilliant homemaker. I too have a small brother .

I have done my schooling up to class X in a small rural school near my village. Then I went to GM College , Sambalpur, one of the best college in Orissa, for class XI and XII. Finally I have come IIT Kharagpur to do my BTech after qualifying in IIT-JEE. I am at the end of my KGP days after spending 5 best years of my life. Me got placed at nVidia Bangalore, and will be joining there after completion of my degree at IIT Kharagpur

My Hobbies

My hobbies?.......Hmmm... Swimming, Water polo, Programming, Web Designing (a little bit). Very recently I have started watching movies (preferebly imdb top 250), reading novels, and jogging (to burn extra accumulated fats).

Swimming....It's my life. I knew swimming before I went for class I. I spend around 1-2 hours a day in swimming pool. My favorite stroke is Back-stroke. I have a keen interest in Water-polo. During winter when swimming pool is closed I usually go for light exercise like jogging. I know little bit of web designing, enough of HTML/Javascript to tweak my blogs and homepage, to explore my artistic side. I am a geek

If you are interested in my CV, then look here. (Coming Soon) Who am I? Writing about myself is a bit difficult task for me. But taking clue from anildigital's this post, i am rather writing "100 things about me" !!!!
  1. My name is Subash Chandra Nayak
  2. I am currently a 5th year Dual Degree (BTech + MTech)
  3. I am studying Electronics and Electrical Communication Engineering at IITKGP (Indian Institute of Technology)
  4. My Mtech specialization is Automation and Computer Vision
  5. I am from a small beautifull village near Sambalpur, Orissa, India
  6. I was born on 18th April 1983
  7. I am an aquarius
  8. My hobbies are swimming, waterpolo, programing, web-designing, blogging, collecting e-books link and ofcourse Zzzzz
  9. I can swim 1500 meter at a stretch :))
  10. I love programming
  11. I have programmed in C, C++, Java, Visual Basic, Matlab, HTML/PHP/Javascript, Shell Script, and Verilog HDL
  12. My favourite programming language is C++ and Matlab
  13. I like pointers [it is fun playing with them, debugging them]
  14. I think I am a geek
  15. I wrote my first program in C
  16. I am an IT enthusiast
  17. I love blogging, and reading blogs
  18. I have a strange hoppy of collecting ebooks-link (I don't know how it started ... I have collected good a number of e-books link and there is a high probability that you have arrived my blog site in search of e-books link)
  19. I love watching Cricket (especially when INDIA is batting and now-a-days while bowling too)
  20. I love internet
  21. Firefox is the ONLY browser that I use
  22. I like to travel
  23. I believe in God, but don't belive in those crappy rituals, rules and regulations that are forced upon people in the name of spirituality
  24. I live in Kharagpur
  25. I am proud to be an INDIAN
  26. I hate hypocrites
  27. I respect those people who are passionate, who belive in struggle
  28. Home-cooked foods are my favourite food
  29. My favourite actor is Amitabh Bachhan and Shahrukh Khan
  30. My favourite television channel is BBC
  31. I love watching cricket, but hardly play cricket
  32. My academic interests are Computer Vision, VLSI Design/EDA, Bio-inspired Computing
  33. I have a inclination towards Soft computing approaches
  34. I love Bio-inspired computing techniques like (Genetic Algorithm, Evolutionary Strategies, Evolutionary Algorithms etc etc... )
  35. I am a workaholic
  36. I f*#@# ing hate lies
  37. I suck at remembering names (Thats one of the reason I don't like biology and is one of the reason to opt for IIT rather than Medical)
  38. some people dont agree with me because i like calling a spade a spade...they can kiss my ass.
  39. I believe in Karma.
  40. I dream of having my own business someday.
  41. i love my parents and would die for them.
  42. I love watching the great india laughter champion/challenge
  43. I need another 2-3 days to finish the list (hopefully)

Comments (28)

  1. Blogger kriti said:  

    replied to your comment in my blog .. reply is in my blog .... btw nice pix
  2. Blogger Seabhcan said:  

    Hi,

    Great blog. You might also be interested in the Computer Vision wiki on http://computervision.wikicities.com/wiki/Main_Page

    Thanks,

    Mark
  3. Anonymous Anonymous said:  

    great blog man! keep up the good work. i'm an infotech college student and your blog would help me a lot.
  4. Anonymous Anonymous said:  

    hi subash
    i am abhinav i am also an engineering student first of all , u have a awesome blog, really kool
    and at the same time very useful and informative.

    hey could u plz ell me how do i get a research project in iit i am really very intreste in doin my final year project in iit plz contact me at abhinavhalley@gmail.com
  5. Blogger sandy said:  

    hi Subhash,
    myself sandeep jain.I am persuing my BE from iet davv indore.

    hey can u please tell me a project which I can make in my final year project based on MATLAB.You can contact me on my email id sandyy.jain@gmail.com
  6. Blogger sandy said:  

    hi Subhash,
    myself Sandeep jain Iam Persuing My BE from IET DAVV indore.

    Hey can u please tell me about a project which i could make in my final year project based on MATLAB.
  7. Blogger sandy said:  

    hi
  8. Anonymous Anonymous said:  

    Hi Subash,
    I introduce myself as Ganesh(NSC) who had met you in the Trinity Circle bus-stop on our way to CMH.
    I happened to come across your blog and was really amazed by the depth of knowledge it offers. My greatest thanks for the site which is very very resourceful.

    Thanks
    Ganesh
  9. Blogger Usama Khalil said:  

    simply put, you are so lucky and so good that inspite of schooling in a rural area,you got in IIT.
  10. Anonymous Anonymous said:  

    Hey..

    I am an alumni of IIT Kgp.. I need some recent pics of Kgp, can you please arrange them for me and mail me at priyanka@hungrybangalore.com?

    Thanks
    Priyanka
  11. Blogger Partha said:  

    Dear Subhash,I am badly looking for the MATLAB software (full version).Can you tell me where I can get it?
    pnsinha68@gmail.com
  12. Anonymous Anonymous said:  

    i just hope you will check the links from time to time as I noted that there are quite a few broken links
  13. Anonymous Anonymous said:  

    hello,

    my name is ted from the philippines and i would like to thank you for the links that you have on your website. i'm a freshman pursuing a degree in computer science and work full time as a level 2 tech for an internet company here in manila. as you know not a lot of cs students has access to books especially in a country like ours. so thank you very much.
  14. Anonymous Anonymous said:  

    hi subash,
    nice blog site.....even i made the blog site http://sunnykhetarpal.blogspot.com but ur formatting is very attractive....may i knw how did u put menu bar in top like home | ebooks etc
  15. Anonymous Anonymous said:  

    hi,

    i am a software engineer in an M.N.C. I am pretty much interested in your blog for VLSI. I would like to know more about those concepts.

    Thanks and keep in touch

    ayyappan
  16. Anonymous Anonymous said:  

    hi subhash...after read my blog in ur blog site...u came n visited ma blogsite...but u dint response my blog...plz do reply ...waiting 4 ur reply
  17. Anonymous Anonymous said:  

    I feel rather intimidated by your IT knowledge... Actually I am a beginner. I would like to learn from you to become a better programmer by the time I am through with my IT course.This is rahter a vague introduction but I sincerely hope to interact with you. im intrigued........
  18. Blogger Unknown said:  

    Hi,
    Can I persuade you to review a manuscript on "Communication Systems: Modeling and Simulation Using MATLAB and Simulink"?

    sreelatha
    Universities press, hyderabad
  19. Blogger Subash said:  

    @Sreelatha

    Yeh, you can ...
  20. Blogger Unknown said:  

    Hi Subhash,your blog is so great.its so useful.thankq.
    oh ,i haven't introduced myself naa.i'm Nazia doing MCA in SIT,JNTU(hyd).i'm now in 2nd year.this june,we have interviews.i hope the questions which u collected will be more useful.
  21. Anonymous Anonymous said:  

    sir i also have field of computer i have diploma in computer science and engg. from polytechnic the duration of diploma is three year .. after complrting my diploma i like to join btech but the financial condition is not good so i can't .
    but presently i join AMIE that provide degree of BTECh , please tell me it's a right decision or not please send me your ideas on my email id , my email id is preetyjoshi88@gmail.com
  22. Blogger Kaushik Patnaik said:  

    hi! this is Kaushik fm sambalpur,currently pursuing Btech in EnTC trade from ITER,Bhubaneswar.
    Sir,I found yr ebook links vry useful and I hope for more future interaction..

    Regards,
    Kaushik
  23. Anonymous Bhagyashree said:  

    Sir, I found your blog interesting.. I can clearly see your hardwork and dedication..
    and yes I also belong to same place; Sambalpur..
  24. Anonymous Anonymous said:  

    Consider submitting some of your blog entries to

    http://twitter.com/EDAblogs

    For example, the recent one about SystemVerilog callbacks.
  25. Blogger Amit said:  

    can u really swim 1500 meter at a stretch in still water ?? if yaa ... thats gr8... u rocks. ..
  26. Blogger Unknown said:  

    Hello every one,
    I am using VCS Synopsys tool with UNIX base to run the Verilog PLI using VPI routines.
    Please see the three files titled add.v (verilog module), add.c(C function which is to be invoked in verilog), and add.tab file.

    ADD.C file

    #include
    #include "vcsuser.h"
    #include "acc_user.h"
    #include "vcs_acc_user.h"
    #include "vpi_user.h"

    PLI_INT32 PLIbook_Showval_compiletf(),PLIbook_Showval_calltf();

    void PLIbook_Showval_register()
    {
    s_vpi_systf_data tf_data;
    tf_data.type=vpiSysTask;
    tf_data.sysfunctype=0;
    tf_data.tfname="$show_value";
    tf_data.calltf=PLIbook_Showval_calltf;
    tf_data.compiletf=PLIbook_Showval_compiletf;
    tf_data.sizetf=NULL;
    tf_data.user_data=NULL;
    vpi_register_systf(&tf_data);
    return;
    }

    PLIbook_Showval_compiletf()
    {
    vpiHandle systf_handle,arg_iterator,arg_handle;
    PLI_INT32 arg_type;

    systf_handle=vpi_handle(vpiSysTfCall,NULL);
    if(systf_handle==NULL){
    vpi_printf("Error:$Show_value failed to obtain the systf handlen");
    vpi_control(vpiFinish,0);
    return(0);}
    else{
    vpi_printf("systf_handle=%dn",systf_handle);}

    arg_iterator=vpi_iterate(vpiArgument,systf_handle);
    if(arg_iterator!=NULL){
    vpi_printf("arg_iterator=%dn",arg_iterator);
    vpi_control(vpiFinish,0);
    return(0);}
    else{
    vpi_printf("Need atleast one argumentn");}

    arg_handle=vpi_scan(arg_iterator);
    vpi_printf("arg_handle=%sn",arg_handle);
    arg_type = vpi_get(vpiType,arg_handle);
    if(arg_type!=vpiNet && arg_type!=vpiReg){
    vpi_printf("Not a valid argumentnarg_type=%sn",arg_type);
    vpi_control(vpiFinish,0);
    return(0);}
    else{

    vpi_printf("arg_type=%sn",arg_type);}

    arg_handle=vpi_scan(arg_iterator);
    if(arg_handle != NULL){
    vpi_printf("ERROR:$show_value can only have 1 argumentn");
    vpi_free_object(arg_iterator);
    vpi_control(vpiFinish,0);
    return(0);}
    return(0);
    }

    PLIbook_Showval_calltf()
    {
    vpiHandle systf_handle,arg_iterator,arg_handle,net_handle;
    s_vpi_value current_value;
    systf_handle=vpi_handle(vpiSysTfCall,NULL);
    arg_iterator=vpi_iterate(vpiArgument,systf_handle);
    net_handle=vpi_scan(arg_iterator);
    vpi_free_object(arg_iterator);
    current_value.format=vpiBinStrVal;
    vpi_get_value(net_handle,&current_value);
    vpi_printf("Signal %s",vpi_get_str(vpiFullName,net_handle));
    vpi_printf("has the value %sn",current_value.value.str);
    return(0);
    }

    ADD.V file

    module add_test;
    reg a,b,ci,clk;
    wire sum,co;
    initial begin
    clk=0;a=0;b=0;ci=0;
    #10 a=1;
    #10 b=1;
    $show_value(sum);
    $show_value(co);
    #10 $stop;
    $finish;
    end
    endmodule
    always #5 clk = ~clk;
    addbit a1(a,b,ci,sum,co,clk);

    addbit(a,b,ci,sum,co,clk);
    input a,b,ci,clk;
    output sum,co;
    reg sum,co;
    always @(posedge clk)begin
    {co,sum}=a+b+ci;
    end
    endmodule

    ADD.TAB file

    $show_value call=show_value acc=rw:*


    I have run the code using following command in VCS.

    vcs -P add.tab add.v add.c -R +vpi -CFLAGS

    And i got the error look like
    " Undefined reference to 'show_value'
    Make exited with status 2"

    There are two vpi routines used in the C code.
    I know the problem that, I have used $show_value in verilog code and there is no function titled $show_value in C code.
    So can any one tell me that where am i suppose to put or write the $show_value function and what it should be.
    If any one knows then there will be a great help.
  27. Anonymous vicky said:  

    hai i have gone through completely it was really informative n i enjoyed a lot.....i think u hav stopped posting to blog .
  28. Anonymous Anonymous said:  

    consider re-posting some of your blogs on professional website ?

    Please mail me @ nitisha.khandelwal@auranet.in

Add a Comment